Moku Cloud Compile on Moku:Go

What is Moku Cloud Compile ?

We recently launched Moku Cloud Compile - or ‘MCC’ - on the Moku:Go. See the full announcement

What is MCC ?

MCC allows you to quickly deploy custom features or functions to Moku without additional software installations. Some of you might be familiar with ‘VHDL’, a hardware programming language.

  • compile your VHDL at compile.liquidinstruments.com

  • download the compile code as a ‘bitstream’ file

  • deploy the bitstream to your Moku:Go in a cloud compile slot in Multi-instrument Mode

Just 3 steps to synthesize and deploy customer features.

In the coming weeks we will share some example features and functions developed with MCC.

Perhaps you have some ideas for useful features ?